Discover and read the best of Twitter Threads about #samsung

Most recents (24)

Final day of the Training at #hardwear_io USA & the sessions are turning super exciting!

Here is a glimpse from @reivilo_t 's Training

🔬Extracting bits from Scanning Electron Microscope pictures using Fiji and python scripting +

💽Getting the binary of ROMs

#hw_ioUSA2023 Image
Day 3 at #TEEPwn Training by Cristofaro @pulsoid !

⌨️Students performing exciting hands-on exercises with TEE-specific exploitation techniques + a solid understanding of #ARM TrustZone-based TEEs

#hw_ioUSA2023 #hardwaresecurity Image
Read 3 tweets
[1/🧵] You've heard of @XummWallet but aren't sure if you can #trust its #security?

You'll learn about @cossacklabs' most recent security assessment and why the #XUMM #wallet strives to maintain the highest security standards.

Follow along in this "all-in-one security 🧵" 👇 Source: https://dev.to/wiet...
[2/24] — Outline —
🔸 Basic Introduction
🔸 Hot #Wallet Fundamentals
🔸 #XUMM Wallet Security
🔸 #Security Audit (18.05.2023)
🔸 XUMM @Tangem Cards
🔸 #Tangem Card Facts Source: https://www.gagan.p...
[3/24] — Basic Introduction —

After hearing the news of #Ledger willingly integrating a 🔑-extraction mechanism into their FW if opted-in, I decided it was time to review #XUMM + @Tangem

This 🧵 is not sponsored in any way, & all of my praise comes from the bottom of my ❤️ Source: https://www.ledger....
Read 25 tweets
#SemanaSemiconductores 2023/16 (1/5)

Estados Unidos continua la implementación de #ChipsForAmerica con la publicación de la Visión del Centro Nacional de Semiconductores

#SemanaSemiconductores 2023/16 (2/5)

La #Revision2023Q1 de los dos grandes fabricantes de #semiconductores coreanos señalan retroceso de ventas con expectativas de recuperación en segundo semestre

#SKHynix

#Samsung
Read 5 tweets
This is an interesting perspective on the #AdaniSyndrome Don't miss.

#cocacola entered India, taking over 11 other Indian soft drink brands, the rest being taken over by Pepsi!

No objection! No shouting

#AMAZON hasn't left out any city!

No resistance! No shouting!

🧵
Courier services like #BlueDart #DHL & #FEDEX came & brought their planes too. Now the whole business is occupied!

No resistance.. No shouting..

#Chinese & #Korean mobiles dominate India.

No resistance, no noise! No shouting..
+
#Nestlé #Maggi #ITC #HUL #PEPSI etc entered the farm sector!

No resistance, no noise

In the 4-wheeler industry, #SUZUKI #MG #Hyundai etc.
#Honda dominates the two-wheeler industry,

No resistance, no noise, no shouting..
+
Read 7 tweets
KLA Corp. #semiconductor equipment maker.
Dec. quarter earnings:
Revenue US$2.98 billion vs analysts estimated $2.81 bln
Net Profit $978.8 bln
EPS (Adjusted): $7.38 vs estimated $7.01
2022 Revenue +28% to $10.5 bln
Free Cash Flow +18% to $3 bln
Inventories $2.535 bln
$KLAC 1/8
2/8 KLA Outlook
Calendar year 2023 “will be a year of contraction after 3 strong years of growth,” as industry spending slows due to rising macroeconomic concerns, KLA said.
-WFE (wafer fab equipment) demand seen -20% from 2022’s $94-$95 billion (to around $75 billion) $KLAC
3/8 KLA Guidance (Q3 2023)
Revenue $2.2 - $2.5 billion
Non-GAAP Gross Margin 60.5-62.5%
EPS (Adjusted) $4.52 - $5.92

Foundry-logic forecast to be 85% of process control revenue, memory is expected to be around 15%.
(Note, process control = 89% of KLA revenue in Dec quarter)
Read 9 tweets
ASML 4th quarter 2022 beat analysts’ estimates
Net sales €6.4 billion vs estimate €6.38 billion
Gross margin 51.5% vs 54.2% in Q4 2021
Operating margin 33.0% vs 40.7%
Net profit €1.82 bln vs estimate €1.7 bln
EPS €4.60
Accelerated "fast shipments on DUV" in Q4
1/15 $ASML
2/15 Taiwan continued to be the main buyer of ASML systems in the 4th quarter.
ASML net system sales €4.748 billion in the 4th quarter versus €4.255 billion in the 3rd quarter $TSM $ASML Image
3/15
ASML’s 2022 sales rose 14% to €21.2 billion (US$23 billion), on continued strong demand.
Gross margin 50.5% versus 52.7% in 2021
Net profit €5.6 bln vs €5.88 bln
EPS of €14.14 vs €14.36
Lithography units sold: 345 vs 309
Net bookings €30.67 billion vs €26.24 billion
Read 15 tweets
The fall of Apple iphone market share.
- peaked at ~40% in 2009
- kept falling none stop to 20% in 2015 and stayed at 20% since then

Does that sound similar to Tesla in EV market share? More below👇

$AAPL $TSLA
Apple iphone market share peaked in H1 2009 and then falling, the stock should fall too, right?

But no, $AAPL was up 10x in the next 6 years from 2009 to 2015
That sounds impossible if we look at how fast Android was gaining market shares.

The answer is, Apple claimed MOST of the profits. (> 90%)

So how Apple was able to pull >90% of the profits while had only 20% of market shares?

$AAPL $GOOG #iPhone #android #Samsung
Read 8 tweets
#Tfacts n.31
In September of 2022, one step before MN4, #Theta Labs made the big surprise and announced that RJ Williams, CEO of @younghollywood, has joined Theta's Metachain Advisory Council.

$Theta is determined to conquer #Hollywood. 🧵⤵️

$theta #crypto #cryptocurrencies
RJ Williams is the founder of digital video platform Young Hollywood.

The company has partnered with #Yahoo, #Hulu, #Google, TVGuide, Blinkx,#Metacafe and #YouTube which selected @younghollywood to be their partner for their first ever live #streaming project.

#theta $theta
Also recent partnerships include #Apple, #Google, #Roku, #Amazon and #Microsoft. Williams also announced plans to launch Young Hollywood TV, a streaming celebrity focused digital network built for Millennials.

#theta $theta #crypto #binance #AppleTV #btc
Read 6 tweets
TSMC Arizona has turned into a Chinese Communist Party (CCP, China) talking point, with scary stories daily.

Example:
“The U.S. is turning TSMC (Taiwan Semiconductor Manufacturing Co) into ASMC (American SMC)," and no Taiwan politician dares say no to the U.S.

Thread 1/9 $TSM
2/9
It looks like a CCP effort to hurt U.S.-Taiwan relations.

What’s most disappointing is the lack of creativity – the perpetrators clearly know little about the semiconductor industry.
#semiconductor $TSM
3/9 Taiwan’s semiconductor industry began in the 1960s, about when the CCP made it a priority.

But where the CCP failed, Taiwan flourished.

Taipei built a vibrant ecosystem, and a number of global powerhouses. Its lead isn’t going away anytime soon.

Let’s count ’em down.
Read 15 tweets
ثريد بسيط ويعتبر اول ثريد لي في تويتر

راح اتكلم عن التعصب التقني
#android #Google #Samsung #Xiaomi #OPPO
#ios #apple Image
هذه الانظمة وتلك الشركات وجدت لنا نحن ( المستخدمين ) لكي نأخذ منها ما يفيدنا ويسهل علينا عملنا ونستمتع بمميزاتها ..

وتنوع المميزات والمواصفات بين هذه الشركات تجعلنا نبحث عن ما نحتاجه نحن من مواصفات ومميزات للتخفيف من قيمة و سعر المواصفات اللتي لا نحتاجها
قد يحتج البعض على كلامي ويسأل عن ما قدمتها ابل في أجهزتها مقابل السعر ..

أقول لك نعم الأسعار فاحشه على اغلب الهواتف الرائدة وليست ابل فقط ولكن تحكم هذه الشركات أمور قد يجلها الكثير ومنها ما اجهله انا من مصروفات لهذه الشركات
Read 6 tweets
Der Terrorstaat #Russland hat #Kyiv/#Kiew (#Ukraine) angegriffen. Militärisch völlig sinnfrei - #Putin's Schergen geht es nur noch um die Einschüchterung der Zivilbevölkerung und um nackte Zerstörung. /MS
Auch weitere Städte in der #Ukraine wurden heute früh durch den Terrorstaat #Russland angegriffen: #Schytomyr, #Chmelnyzkyj, #Dnipro/#Dnipropetrowsk, #Lwiw und #Ternopil. /MS
Insgesamt meldet die #Ukraine, der Terrorstaat #Russland habe 75 Raketen abgeschossen. 41 davon habe die Luftabwehr noch erwischt, der Rest kam durch. /MS
Read 14 tweets
#BREAKING
Mushroom cloud in centre of #Kiev
4 Explosions reported in centre of Kiev
#Kyiv #CrimeanBridge
Big Breaking
Russian Missile Strikes Reported In Central #Kiev. Clouds Of Black Smoke Can Be Seen Rising From Buildings

#Zelensky Office may have been hit (unconfirmed)

#Russia Fired 10 Missiles At #Mykolaiv
12 missiles at #Zaporizhzhia
5 missiles at #Kiev centre
Kiev got bombed
5 missiles targeted centre of #Kiev
Missile defense could only intercept one
#Zaporizhzhia #Zaporizhzhya #CrimeanBridge
Read 8 tweets
1. Eunice was 16 when John met her fetching water for her parents. He was then 19 years and had just completed SHS. They didn't meet again until she turned 21 and he 24. He'd gone to see his friends off at the airport and stopped by a shell shop to refuel his car.
2. He remembered her because of a birthmark on her forehead and confirmed if it was her. She told him of her struggles to pay her fees since her parents couldn't afford her fees. The fact that at her age she didn't have a phone even moved him to buy her a brand new #Samsung
3. The following day. This led to a beautiful relationship and he went to see her parents to introduce himself as her friend. He helped her rewrite some WASSCE papers by not just paying for the exams but paying for a private tutor for her.

Whiles waiting for her results
Read 19 tweets
#samsung
Ho comprato a giugno 2021 una TV Samsung che dispone di 1 one connect box ( dove si attaccano HDMI x apparecchi esterni). Da giovedì non ho più connessione a Sky Q, decoder, cavi verificati da Sky.
Se attacco computer o altra tv alla One connect box NON funzionano;
Viceversa, se computer o altra tv sono collegati sempre con gli stessi cavi HDMI a SkyQ funzionano. Evidentemente NON finziona la One connect box della tv Samsung. Andata dal venditore con questa box; venditore (MW) mi rimanda all' Ass. Samsung; provo nr. Verde.
Difficile parlare
Lascio nr. Mio tengono; nel frattempo telefono ad un' assistenza Samsung che senza il benestare della Samsung non può inviare un tecnico a casa, né sostituire il pezzo. Mi dicono che devo pretendere assistenza tecnica domiciliare perché la 5v è in garanzia.
Mi chiama la Samsung
Read 10 tweets
Chinese #smartphones continue to lead the market share despite crackdown by the Indian government

businessinsider.in/tech/mobile/ne…

By @sourabhjain24
Four out of five #smartphones sold in the June quarter in India were made by Chinese companies, according to reports. This is despite the crackdown by the Indian government on Chinese smartphone giants #Xiaomi, Oppo and #Vivo, which control half of India's smartphone market.
In addition to the crackdown by the government, there have been calls for a boycott of Chinese products in India since the two countries clashed in the Galwan valley in 2020.

FM #NirmalaSitharaman recently spoke about the crackdown on Chinese companies in the Rajya Sabha.
Read 9 tweets
I spoke with #TSMC's Former General Counsel Richard "Dick" Thurston on how to interpret the #China provision in the #CHIPSAct. One provision prevents recipients of the funding from expanding advanced chip capacity in China in next 10 years. What is exactly restricted? (1/11)
"..the covered entity MAY NOT engage in any SIGNIFICANT TRANSACTION....involving the MATERIAL EXPANSION of semiconductor manufacturing capacity in the People’s Republic of China." The restriction is designed for advanced node under 28-nanometer (28nm not included). (2/11)
As for memory, analog and packaging technologies, the restriction would be on any #legacy generation of semiconductor technology relative to logic chips under 28nm...as determined by Secretary of #Commerce. Not clear how to define the technologies mentioned above yet. (3/11)
Read 11 tweets
Le prochain Elon Musk ? 🚀

Voici Alexandr Wang, PDG de @scale_AI et plus jeune milliardaire du monde à 25 ans.

Qui est-il et comment a-t-il fait fortune ? 👇
Le jeune milliardaire est issu d'une famille de scientifiques 🧑‍🔬qui travaillaient sur des projets pour l'armée américaine. 🇺🇸

Précoce, Wang participait à des concours de maths et de codage à l'école. À l'âge de 17 ans, Wang codait à plein temps pour quora.com
À 19 ans, après un bref passage au @MIT pour étudier l'apprentissage automatique, Wang a abandonné ses études pour participer à l'accélérateur @ycombinator et lancer Scale AI avec avec @lucy_guo , une autre jeune prodige de la #tech.
Read 8 tweets
A typical car company:
- may make engines
- most parts, software made by suppliers
- dealerships do sales & services
-> mainly assembling

$TSLA: the above, and
- electric powertrains
- battery packs
- super charge network (= gas station)
- Tesla OS software
- AI chip
- FSD Image
$TSLA moats:
- best manufacturing
- best electric motors
- best batter pack density
- largest supercharger network
- best data for FSD
- vertical integration -> faster rate of innovation
- best CEO that can drive product innovation
vested.co.in/blog/tesla-str… Image
$TSLA's manufacturing
- Tesla's factory: machines that make machines
- factory is the competitive strength of Tesla long-term
- giant casting machines -> make cars in the same way that toy cars are made

➡️ exponentially growth in production rates
analyticssteps.com/blogs/manufact… ImageImage
Read 26 tweets
1/ Where can we find the best #investments of the next 10 years?

Simple. We need to look where the world’s wealthiest action groups plan on investing $7 trillion to achieve their vision of a new world.

Lucky for us, they lay it all out in their "#SDGs for 2030" agenda: 🧵 Image
2/ In September 2015, 193 countries of the United Nations endorsed 17 Sustainable Development Goals to be attained by 2030. 🌍

“The #SDGs provide a common and agreed upon framework to advance the world's future by resolving our most pressing sustainability issues.”⏳ ImageImage
3/ To put it simply, the #SDGs act as a roadmap, directing the efforts of countries and global brands to achieve the UN’s vision for a better world.

🌎🔀🌐

…and It doesn’t take long to realize how massive this agenda is. #UnitedNations #Microsoft #Google #Samsung ImageImageImageImage
Read 17 tweets
Dent Wireless, creator of $DENT coin, will be a major player in the #crypto industry. I’ve been following the project for a while and wanted to create a 🧵 explaining what DENT is and why I’m so #bullish. ⚛️ [1] Image
$DENT was founded in June 2017 to liberate the global mobile data market with E-sim technology. Before E-Sim, mobile data was only available through corporations, locking people into fixed monthly contracts... 🔒 [2]
This meant people had no control over their data - If they used too much, they paid fees, if they had a surplus, they couldn’t sell it. This made data contracts very expensive and priced out millions of people, especially in least developed countries… Enter $DENT. 🤩 [3]
Read 11 tweets
#Lapsus, el grupo cibercriminal que extorsiona con #ransomware que se la puso a Nvidia y Samsung, ahora hace una encuesta para que voten a su siguiente víctima.

Miren quién aparece en la lista 👀
Contexto.

El leak de #nvidia fue tremendo. El código fuente de DLSS, uno de sus desarrollos más importantes de los últimos años, además de la eliminación del límite para minar a las placas que vienen capeadas por soft.

Y 71 mil credenciales de empleados

bleepingcomputer.com/news/security/…
#Samsung.

190 GB de información subida en un torrent el viernes pasado. Como prueba del ataque, subieron una captura de los directorios de C/C++

(vía @BleepinComputer)

bleepingcomputer.com/news/security/…
Read 5 tweets
What you are about to read, is no fiction. These are real events that happened to real people. So buckle up and take it in, word for word.

#MrBayo #Samsung #Tariq
Last week Saturday, a friend of mine called me at about 8am in the morning and goes "Ayo howfar, I have a wedding  to attend in Gwarimpa today and my plus 1 cancelled last minute. I honestly don't want to go alone, could you please go with me?".
I didn't even waste time before saying yes. Me as the certified glutton that I am, how could i turn down party jollof.
By 2pm, I was already set and fully clad in my VERY expensive agbada and doused in my VERY, UNNECESSARILY expensive Oud perfume so I can do Abuja big boy.
Read 13 tweets
#Samsung, herhangi bir ödeme yapmadan NFT kazanabileceğimiz #Metaverse mağazasını #Decentraland üzerinde açtı. NFT kıyafetleri kazanmak için toplamda 4 tane Rozet toplayacağız.
play.decentraland.org adresi üzerinden walletimizi bağlayıp karakterimizi oluşturuyoruz akabinde mail & kullanıcı adı vb. işlemleri tamamladıktan sonra, haritaya tıklayıp "Explore "sekmesinden "Highlights" kısmına gelip, "Samsung" mağazasına 'JUMP IN' yapıyoruz.
Badgeleri toplamak için mağazaya giriş yapıyoruz sonrasında karşınıza çıkan ekranda 3 üçgene Yeşil-Sarı ve Pembe bölgeye giriş yaparak Badge toplayacağız.
Read 8 tweets
SMIC can mass produce 5-nanometer chips with existing equipment and does not need EUV Lithography,said the inventor of immersion lithography, Burn J. Lin, professor at Nat’l Tsinghua U. and former TSMC exec, media report. $SMICY #semiconductors Thread 1/5 chinatimes.com/realtimenews/2…
2/5 Burn J. Lin
The chip industry legend said SMIC's success in 5nm chips depends on if the R&D skills are in place. (Note: His comments shed light on 12/9 Wall Street Journal article that the US may seek to ban the export of more chip equipment to SMIC.)
wsj.com/articles/u-s-t…
3/5 Burn J. Lin
Samsung's bid to leap ahead in 3-nanometer process technology will end in tears, Lin also reportedly said. Developing 3nm and below process technology is a thankless task fraught with risk and massive $$ investment, he said. $TSM #Samsung #semiconductors
Read 7 tweets

Related hashtags

Did Thread Reader help you today?

Support us! We are indie developers!


This site is made by just two indie developers on a laptop doing marketing, support and development! Read more about the story.

Become a Premium Member ($3.00/month or $30.00/year) and get exclusive features!

Become Premium

Too expensive? Make a small donation by buying us coffee ($5) or help with server cost ($10)

Donate via Paypal Become our Patreon

Thank you for your support!